Area and Timing Estimation in Register Files Using Neural Networks

HTML  Download Download as PDF (Size: 1478KB)  PP. 269-277  
DOI: 10.4236/cs.2012.33037    5,331 Downloads   7,999 Views  Citations

ABSTRACT

The increase in issue width and instructions window size in modern processors demand an increase in the size of the register files, as well as an increase in the number of ports. Bigger register files implies an increase in power consumed by these units as well as longer access delays. Models that assist in estimating the size of the register file, and its timing early in the design cycle are critical to the time-budget allocated to a processor design and to its performance. In this work, we discuss a Radial Base Function (RBF) Artificial Neural Network (ANN) model for the prediction of time and area for standard cell register files designed using optimized Synopsys Design Ware components and an UMC130 nm library. The ANN model predictions were compared against experimental results (obtained using detailed simulation) and a nonlinear regression-based model, and it is observed that the ANN model is very accurate and outperformed the non-linear model in several statistical parameters. Using the trained ANN model, a parametric study was carried out to study the effect of the number of words in the file (D), the number of bit in one word (W) and the total number of Read and Write ports (P) on the latency and area of standard cell register files.

Share and Cite:

A. Sagahyroon and J. Abdalla, "Area and Timing Estimation in Register Files Using Neural Networks," Circuits and Systems, Vol. 3 No. 3, 2012, pp. 269-277. doi: 10.4236/cs.2012.33037.

Copyright © 2024 by authors and Scientific Research Publishing Inc.

Creative Commons License

This work and the related PDF file are licensed under a Creative Commons Attribution 4.0 International License.